diff interps/lambda/defs.pickle @ 996:859f9b4339e6

<Gregor> tar xf egobot.tar.xz
author HackBot
date Sun, 09 Dec 2012 19:30:08 +0000
parents
children
line wrap: on
line diff
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/interps/lambda/defs.pickle	Sun Dec 09 19:30:08 2012 +0000
@@ -0,0 +1,1439 @@
+(dp0
+S'and'
+p1
+(iparser
+LambdaExp
+p2
+(dp3
+S'body'
+p4
+(iparser
+LambdaExp
+p5
+(dp6
+g4
+(iparser
+ApplyExp
+p7
+(dp8
+S'rand'
+p9
+(iparser
+LambdaExp
+p10
+(dp11
+g4
+(iparser
+LambdaExp
+p12
+(dp13
+g4
+(iparser
+NameExp
+p14
+(dp15
+g4
+S'y'
+p16
+sbsS'arg'
+p17
+g16
+sbsg17
+S'x'
+p18
+sbsS'rator'
+p19
+(iparser
+ApplyExp
+p20
+(dp21
+g9
+(iparser
+NameExp
+p22
+(dp23
+g4
+S'q'
+p24
+sbsg19
+(iparser
+NameExp
+p25
+(dp26
+g4
+S'p'
+p27
+sbsbsbsg17
+g24
+sbsg17
+g27
+sbsS'false'
+p28
+g10
+sS'show'
+p29
+(iparser
+SpecialExp
+p30
+(dp31
+S'body'
+p32
+S'#show'
+p33
+sbsS'true'
+p34
+(iparser
+LambdaExp
+p35
+(dp36
+g4
+(iparser
+LambdaExp
+p37
+(dp38
+g4
+(iparser
+NameExp
+p39
+(dp40
+g4
+g18
+sbsg17
+g16
+sbsg17
+g18
+sbsS'c3'
+p41
+(iparser
+LambdaExp
+p42
+(dp43
+S'body'
+p44
+(iparser
+LambdaExp
+p45
+(dp46
+g44
+(iparser
+ApplyExp
+p47
+(dp48
+S'rand'
+p49
+(iparser
+ApplyExp
+p50
+(dp51
+g49
+(iparser
+ApplyExp
+p52
+(dp53
+g49
+(iparser
+NameExp
+p54
+(dp55
+g44
+S'x'
+p56
+sbsS'rator'
+p57
+(iparser
+NameExp
+p58
+(dp59
+g44
+S'f'
+p60
+sbsbsg57
+(iparser
+NameExp
+p61
+(dp62
+g44
+g60
+sbsbsg57
+(iparser
+NameExp
+p63
+(dp64
+g44
+g60
+sbsbsS'arg'
+p65
+g56
+sbsg65
+g60
+sbsS'c256'
+p66
+(iparser
+ApplyExp
+p67
+(dp68
+S'rand'
+p69
+(iparser
+ApplyExp
+p70
+(dp71
+g69
+(iparser
+LambdaExp
+p72
+(dp73
+S'body'
+p74
+(iparser
+LambdaExp
+p75
+(dp76
+g74
+(iparser
+ApplyExp
+p77
+(dp78
+g69
+(iparser
+ApplyExp
+p79
+(dp80
+g69
+(iparser
+NameExp
+p81
+(dp82
+g74
+S'x'
+p83
+sbsS'rator'
+p84
+(iparser
+NameExp
+p85
+(dp86
+g74
+S'f'
+p87
+sbsbsg84
+(iparser
+NameExp
+p88
+(dp89
+g74
+g87
+sbsbsS'arg'
+p90
+g83
+sbsg90
+g87
+sbsg84
+(iparser
+LambdaExp
+p91
+(dp92
+g74
+(iparser
+ApplyExp
+p93
+(dp94
+g69
+(iparser
+NameExp
+p95
+(dp96
+g74
+S'n'
+p97
+sbsg84
+(iparser
+NameExp
+p98
+(dp99
+g74
+g97
+sbsbsg90
+g97
+sbsbsg84
+(iparser
+LambdaExp
+p100
+(dp101
+g74
+(iparser
+ApplyExp
+p102
+(dp103
+g69
+(iparser
+NameExp
+p104
+(dp105
+g74
+g97
+sbsg84
+(iparser
+NameExp
+p106
+(dp107
+g74
+g97
+sbsbsg90
+g97
+sbsbsS'if'
+p108
+(iparser
+LambdaExp
+p109
+(dp110
+S'body'
+p111
+(iparser
+LambdaExp
+p112
+(dp113
+g111
+(iparser
+LambdaExp
+p114
+(dp115
+g111
+(iparser
+ApplyExp
+p116
+(dp117
+S'rand'
+p118
+(iparser
+NameExp
+p119
+(dp120
+g111
+S'y'
+p121
+sbsS'rator'
+p122
+(iparser
+ApplyExp
+p123
+(dp124
+g118
+(iparser
+NameExp
+p125
+(dp126
+g111
+S'x'
+p127
+sbsg122
+(iparser
+NameExp
+p128
+(dp129
+g111
+S'p'
+p130
+sbsbsbsS'arg'
+p131
+g121
+sbsg131
+g127
+sbsg131
+g130
+sbsS'iszero'
+p132
+(iparser
+LambdaExp
+p133
+(dp134
+g111
+(iparser
+ApplyExp
+p135
+(dp136
+g118
+g35
+sg122
+(iparser
+ApplyExp
+p137
+(dp138
+g118
+(iparser
+LambdaExp
+p139
+(dp140
+g111
+g10
+sg131
+g127
+sbsg122
+(iparser
+NameExp
+p141
+(dp142
+g111
+S'n'
+p143
+sbsbsbsg131
+g143
+sbsS'pred'
+p144
+(iparser
+LambdaExp
+p145
+(dp146
+S'body'
+p147
+(iparser
+LambdaExp
+p148
+(dp149
+g147
+(iparser
+LambdaExp
+p150
+(dp151
+g147
+(iparser
+ApplyExp
+p152
+(dp153
+S'rand'
+p154
+(iparser
+LambdaExp
+p155
+(dp156
+g147
+(iparser
+NameExp
+p157
+(dp158
+g147
+S'u'
+p159
+sbsS'arg'
+p160
+g159
+sbsS'rator'
+p161
+(iparser
+ApplyExp
+p162
+(dp163
+g154
+(iparser
+LambdaExp
+p164
+(dp165
+g147
+(iparser
+NameExp
+p166
+(dp167
+g147
+S'x'
+p168
+sbsg160
+g159
+sbsg161
+(iparser
+ApplyExp
+p169
+(dp170
+g154
+(iparser
+LambdaExp
+p171
+(dp172
+g147
+(iparser
+LambdaExp
+p173
+(dp174
+g147
+(iparser
+ApplyExp
+p175
+(dp176
+g154
+(iparser
+ApplyExp
+p177
+(dp178
+g154
+(iparser
+NameExp
+p179
+(dp180
+g147
+S'f'
+p181
+sbsg161
+(iparser
+NameExp
+p182
+(dp183
+g147
+S'g'
+p184
+sbsbsg161
+(iparser
+NameExp
+p185
+(dp186
+g147
+S'h'
+p187
+sbsbsg160
+g187
+sbsg160
+g184
+sbsg161
+(iparser
+NameExp
+p188
+(dp189
+g147
+S'n'
+p190
+sbsbsbsbsg160
+g168
+sbsg160
+g181
+sbsg160
+g190
+sbsS'greyknight'
+p191
+(iparser
+StringExp
+p192
+(dp193
+S'line'
+p194
+S'idiot'
+p195
+sbsS'c5'
+p196
+(iparser
+ApplyExp
+p197
+(dp198
+S'rand'
+p199
+(iparser
+ApplyExp
+p200
+(dp201
+S'rand'
+p202
+g42
+sS'rator'
+p203
+(iparser
+LambdaExp
+p204
+(dp205
+S'body'
+p206
+(iparser
+LambdaExp
+p207
+(dp208
+g206
+(iparser
+LambdaExp
+p209
+(dp210
+g206
+(iparser
+ApplyExp
+p211
+(dp212
+S'rand'
+p213
+(iparser
+ApplyExp
+p214
+(dp215
+g213
+(iparser
+NameExp
+p216
+(dp217
+g206
+S'x'
+p218
+sbsS'rator'
+p219
+(iparser
+ApplyExp
+p220
+(dp221
+g213
+(iparser
+NameExp
+p222
+(dp223
+g206
+S'f'
+p224
+sbsg219
+(iparser
+NameExp
+p225
+(dp226
+g206
+S'n'
+p227
+sbsbsbsg219
+(iparser
+NameExp
+p228
+(dp229
+g206
+g224
+sbsbsS'arg'
+p230
+g218
+sbsg230
+g224
+sbsg230
+g227
+sbsbsS'rator'
+p231
+g204
+sbsS'c10'
+p232
+(iparser
+ApplyExp
+p233
+(dp234
+g199
+(iparser
+ApplyExp
+p235
+(dp236
+g199
+(iparser
+ApplyExp
+p237
+(dp238
+g199
+(iparser
+ApplyExp
+p239
+(dp240
+g199
+(iparser
+ApplyExp
+p241
+(dp242
+g199
+g197
+sg231
+g204
+sbsg231
+g204
+sbsg231
+g204
+sbsg231
+g204
+sbsg231
+g204
+sbsS'fr'
+p243
+(iparser
+ApplyExp
+p244
+(dp245
+S'rand'
+p246
+(iparser
+LambdaExp
+p247
+(dp248
+S'body'
+p249
+(iparser
+LambdaExp
+p250
+(dp251
+g249
+(iparser
+ApplyExp
+p252
+(dp253
+S'rand'
+p254
+(iparser
+ApplyExp
+p255
+(dp256
+g254
+(iparser
+ApplyExp
+p257
+(dp258
+g254
+(iparser
+ApplyExp
+p259
+(dp260
+g254
+(iparser
+NameExp
+p261
+(dp262
+g249
+S'x'
+p263
+sbsS'rator'
+p264
+g145
+sbsg264
+(iparser
+NameExp
+p265
+(dp266
+g249
+S'f'
+p267
+sbsbsg264
+(iparser
+ApplyExp
+p268
+(dp269
+g254
+(iparser
+NameExp
+p270
+(dp271
+g249
+g263
+sbsg264
+(iparser
+LambdaExp
+p272
+(dp273
+S'body'
+p274
+(iparser
+LambdaExp
+p275
+(dp276
+g274
+(iparser
+LambdaExp
+p277
+(dp278
+g274
+(iparser
+ApplyExp
+p279
+(dp280
+S'rand'
+p281
+(iparser
+ApplyExp
+p282
+(dp283
+g281
+(iparser
+NameExp
+p284
+(dp285
+g274
+S'f'
+p286
+sbsS'rator'
+p287
+(iparser
+NameExp
+p288
+(dp289
+g274
+S'm'
+p290
+sbsbsg287
+(iparser
+NameExp
+p291
+(dp292
+g274
+S'n'
+p293
+sbsbsS'arg'
+p294
+g286
+sbsg294
+g293
+sbsg294
+g290
+sbsbsbsg264
+(iparser
+ApplyExp
+p295
+(dp296
+g254
+(iparser
+LambdaExp
+p297
+(dp298
+S'body'
+p299
+(iparser
+LambdaExp
+p300
+(dp301
+g299
+(iparser
+ApplyExp
+p302
+(dp303
+S'rand'
+p304
+(iparser
+NameExp
+p305
+(dp306
+g299
+S'x'
+p307
+sbsS'rator'
+p308
+(iparser
+NameExp
+p309
+(dp310
+g299
+S'f'
+p311
+sbsbsS'arg'
+p312
+g307
+sbsg312
+g311
+sbsg264
+(iparser
+ApplyExp
+p313
+(dp314
+g254
+(iparser
+NameExp
+p315
+(dp316
+g249
+g263
+sbsg264
+g133
+sbsbsbsS'arg'
+p317
+g263
+sbsg317
+g267
+sbsS'rator'
+p318
+(iparser
+LambdaExp
+p319
+(dp320
+S'body'
+p321
+(iparser
+ApplyExp
+p322
+(dp323
+S'rand'
+p324
+(iparser
+LambdaExp
+p325
+(dp326
+g321
+(iparser
+ApplyExp
+p327
+(dp328
+g324
+(iparser
+ApplyExp
+p329
+(dp330
+g324
+(iparser
+NameExp
+p331
+(dp332
+g321
+S'x'
+p333
+sbsS'rator'
+p334
+(iparser
+NameExp
+p335
+(dp336
+g321
+g333
+sbsbsg334
+(iparser
+NameExp
+p337
+(dp338
+g321
+S'f'
+p339
+sbsbsS'arg'
+p340
+g333
+sbsg334
+(iparser
+LambdaExp
+p341
+(dp342
+g321
+(iparser
+ApplyExp
+p343
+(dp344
+g324
+(iparser
+ApplyExp
+p345
+(dp346
+g324
+(iparser
+NameExp
+p347
+(dp348
+g321
+g333
+sbsg334
+(iparser
+NameExp
+p349
+(dp350
+g321
+g333
+sbsbsg334
+(iparser
+NameExp
+p351
+(dp352
+g321
+g339
+sbsbsg340
+g333
+sbsbsg340
+g339
+sbsbsS'i1'
+p353
+(iparser
+LambdaExp
+p354
+(dp355
+S'body'
+p356
+(iparser
+LambdaExp
+p357
+(dp358
+g356
+(iparser
+ApplyExp
+p359
+(dp360
+S'rand'
+p361
+(iparser
+LambdaExp
+p362
+(dp363
+g356
+(iparser
+LambdaExp
+p364
+(dp365
+g356
+(iparser
+NameExp
+p366
+(dp367
+g356
+S'b'
+p368
+sbsS'arg'
+p369
+g368
+sbsg369
+S'a'
+p370
+sbsS'rator'
+p371
+(iparser
+NameExp
+p372
+(dp373
+g356
+g370
+sbsbsg369
+g368
+sbsg369
+g370
+sbsS'i0'
+p374
+(iparser
+LambdaExp
+p375
+(dp376
+S'body'
+p377
+(iparser
+LambdaExp
+p378
+(dp379
+g377
+(iparser
+NameExp
+p380
+(dp381
+g377
+S'b'
+p382
+sbsS'arg'
+p383
+g382
+sbsg383
+S'a'
+p384
+sbsS'succ'
+p385
+g204
+sS'c8'
+p386
+g237
+sS'not'
+p387
+(iparser
+LambdaExp
+p388
+(dp389
+g4
+(iparser
+ApplyExp
+p390
+(dp391
+g9
+g35
+sg19
+(iparser
+ApplyExp
+p392
+(dp393
+g9
+g10
+sg19
+(iparser
+NameExp
+p394
+(dp395
+g4
+g27
+sbsbsbsg17
+g27
+sbsS'c2'
+p396
+(iparser
+LambdaExp
+p397
+(dp398
+S'body'
+p399
+(iparser
+LambdaExp
+p400
+(dp401
+g399
+(iparser
+ApplyExp
+p402
+(dp403
+S'rand'
+p404
+(iparser
+ApplyExp
+p405
+(dp406
+g404
+(iparser
+NameExp
+p407
+(dp408
+g399
+S'x'
+p409
+sbsS'rator'
+p410
+(iparser
+NameExp
+p411
+(dp412
+g399
+S'f'
+p413
+sbsbsg410
+(iparser
+NameExp
+p414
+(dp415
+g399
+g413
+sbsbsS'arg'
+p416
+g409
+sbsg416
+g413
+sbsS'c1'
+p417
+g297
+sS'c0'
+p418
+(iparser
+LambdaExp
+p419
+(dp420
+S'body'
+p421
+(iparser
+LambdaExp
+p422
+(dp423
+g421
+(iparser
+NameExp
+p424
+(dp425
+g421
+S'x'
+p426
+sbsS'arg'
+p427
+g426
+sbsg427
+S'f'
+p428
+sbsS'c7'
+p429
+g239
+sS'c6'
+p430
+g241
+sS'mult'
+p431
+g272
+sS'c4'
+p432
+g200
+sS'i'
+p433
+(iparser
+LambdaExp
+p434
+(dp435
+S'body'
+p436
+(iparser
+NameExp
+p437
+(dp438
+g436
+S'x'
+p439
+sbsS'arg'
+p440
+g439
+sbsS'k'
+p441
+(iparser
+LambdaExp
+p442
+(dp443
+S'body'
+p444
+(iparser
+LambdaExp
+p445
+(dp446
+g444
+(iparser
+NameExp
+p447
+(dp448
+g444
+S'x'
+p449
+sbsS'arg'
+p450
+S'y'
+p451
+sbsg450
+g449
+sbsS'c9'
+p452
+g235
+sS's'
+p453
+(iparser
+LambdaExp
+p454
+(dp455
+S'body'
+p456
+(iparser
+LambdaExp
+p457
+(dp458
+g456
+(iparser
+LambdaExp
+p459
+(dp460
+g456
+(iparser
+ApplyExp
+p461
+(dp462
+S'rand'
+p463
+(iparser
+ApplyExp
+p464
+(dp465
+g463
+(iparser
+NameExp
+p466
+(dp467
+g456
+S'z'
+p468
+sbsS'rator'
+p469
+(iparser
+NameExp
+p470
+(dp471
+g456
+S'y'
+p472
+sbsbsg469
+(iparser
+ApplyExp
+p473
+(dp474
+g463
+(iparser
+NameExp
+p475
+(dp476
+g456
+g468
+sbsg469
+(iparser
+NameExp
+p477
+(dp478
+g456
+S'x'
+p479
+sbsbsbsS'arg'
+p480
+g468
+sbsg480
+g472
+sbsg480
+g479
+sbsS'plus'
+p481
+(iparser
+LambdaExp
+p482
+(dp483
+S'body'
+p484
+(iparser
+LambdaExp
+p485
+(dp486
+g484
+(iparser
+LambdaExp
+p487
+(dp488
+g484
+(iparser
+LambdaExp
+p489
+(dp490
+g484
+(iparser
+ApplyExp
+p491
+(dp492
+S'rand'
+p493
+(iparser
+ApplyExp
+p494
+(dp495
+g493
+(iparser
+NameExp
+p496
+(dp497
+g484
+S'x'
+p498
+sbsS'rator'
+p499
+(iparser
+ApplyExp
+p500
+(dp501
+g493
+(iparser
+NameExp
+p502
+(dp503
+g484
+S'f'
+p504
+sbsg499
+(iparser
+NameExp
+p505
+(dp506
+g484
+S'n'
+p507
+sbsbsbsg499
+(iparser
+ApplyExp
+p508
+(dp509
+g493
+(iparser
+NameExp
+p510
+(dp511
+g484
+g504
+sbsg499
+(iparser
+NameExp
+p512
+(dp513
+g484
+S'm'
+p514
+sbsbsbsS'arg'
+p515
+g498
+sbsg515
+g504
+sbsg515
+g507
+sbsg515
+g514
+sbsS'exp'
+p516
+(iparser
+LambdaExp
+p517
+(dp518
+S'body'
+p519
+(iparser
+LambdaExp
+p520
+(dp521
+g519
+(iparser
+ApplyExp
+p522
+(dp523
+S'rand'
+p524
+(iparser
+NameExp
+p525
+(dp526
+g519
+S'm'
+p527
+sbsS'rator'
+p528
+(iparser
+NameExp
+p529
+(dp530
+g519
+S'n'
+p531
+sbsbsS'arg'
+p532
+g531
+sbsg532
+g527
+sbsS'y'
+p533
+g319
+sS'or'
+p534
+(iparser
+LambdaExp
+p535
+(dp536
+g4
+(iparser
+LambdaExp
+p537
+(dp538
+g4
+(iparser
+ApplyExp
+p539
+(dp540
+g9
+(iparser
+NameExp
+p541
+(dp542
+g4
+g24
+sbsg19
+(iparser
+ApplyExp
+p543
+(dp544
+g9
+g35
+sg19
+(iparser
+NameExp
+p545
+(dp546
+g4
+g27
+sbsbsbsg17
+g24
+sbsg17
+g27
+sbsS'fact'
+p547
+g244
+s.
\ No newline at end of file